Синхронизация в цифровых устройствах

Тема 15. Последовательностные функциональные узлы

Автоматы с памятью

Узлы и устройства, которые содержат элементы памяти, относятся к классу автоматов с памятью (АП). Наличие элементов памяти (ЭП) придает АП свойство иметь некоторое внутреннее состояние Q, определяемое совокупностью состояний всех элементов памяти. В зависимости от внутреннего состояния (далее называемого просто состоянием), АП различно реагирует на один и тот же вектор входных сигналов X. Воспринимая входные сигналы при определенном состоянии, АП переходит в новое состояние и вырабатывает вектор выходных переменных Y. Таким образом, для АП и, где и – состояния АП после и до подачи входных сигналов.

Переходы АП из одного состояния в другое начинаются с некоторого исходного состояния, задание которого является частью задания автомата. Следующее состояние зависит от и поступивших входных сигналов X. В конечном счете, текущее состояние и выходы автомата зависят от начального состояния и всех входных сигналов X, поступавших на автомат в предшествующие моменты времени. Таким образом, вся последовательность входных сигналов определяет последовательность состояний и выходных сигналов. Это объясняет название «последовательностные схемы», также применяемое для обозначения АП.

Структурно АП отличаются от КЦ наличием в их схемах обратных связей, вследствие чего в них проявляются свойства запоминания состояний. Автоматы с памятью в каноническом представлении разделяют на две части: помять и комбинационную цепь. На входы КЦ подаются входные сигналы и сигналы состояния АП. На ее выходе вырабатываются выходные сигналы и
сигналы перевода АП в новое состояние.

Принципиальным является деление АП на асинхронные и синхронные.
В асинхронных (рис. 28, а) роль элементов памяти играют элементы задержки, через которые сигналы состояния передаются на входы КЦ, чтобы совместно с новым набором входных переменных определить следующую пару значений Y и Q на выходе. Элементы АП переключаются здесь под непосредственным воздействием изменений информационных сигналов. Скорость распространения процесса переключений в цепях асинхронного автомата определяется собственными задержками элементов.

В синхронном АП (рис. 28, б) имеются специальные синхросигналы
(тактирующие импульсы) С, которые разрешают элементам памяти прием данных только в определенные моменты времени. Элементами памяти служат синхронные триггеры. Процесс обработки информации упорядочивается во времени, и в течение одного такта возможно распространение процесса переключения только в строго определенных пределах тракта обработки информации.

а б

Рис. 28

Практическое применение асинхронных автоматов существенно затруднено сильным влиянием на их работу задержек сигналов в цепях АП, создающих статические и динамические риски, гонки элементов памяти (неодновременность срабатывания ЭП даже при одновременной подаче на них входных сигналов) и др. В итоге характерным свойством асинхронного автомата является то, что при переходе из одного устойчивого состояния в другое он обычно проходит через промежуточные нестабильные состояния. Нельзя сказать, что методы борьбы с нежелательными последствиями рисков и гонок в асинхронных АП отсутствуют, но все же обеспечение предсказуемого поведения АП – сложная проблема. В более или менее сложных АП асинхронные схемы встречаются очень редко, а в простейших схемах применяются. Примером могут служить асинхронные RS-триггеры.

В синхронных автоматах каждое состояние устойчиво и переходные временные состояния не возникают. Концепция борьбы с последствиями рисков и гонок в синхронных автоматах проста – прием информации в элементы памяти разрешается только после завершения в схеме переходных процессов. Это обеспечивается параметрами синхроимпульсов, задающих интервалы времени для завершения тех или иных процессов. В сравнении с асинхронными, синхронные АП значительно проще в проектировании.

На сегодняшний день и достаточно длительную перспективу основным путем построения АП следует считать применение тактирования, т. е. синхронных автоматов.

Синхронизация осуществляется тактовым генератором, сигналы которого распределяются по всем частям устройства и разрешают прием данных элементам памяти – синхронным триггерам. Она упорядочивает во времени последовательность операций при обработке информации в ЦУ. Темп обработки задается частотой тактовых сигналов.

Обобщенный тракт обработки информации при синхронной организации процессов можно представить чередованием комбинационных цепей КЦ и элементов памяти ЭП, что отражает работу ЦУ как при пространственном чередовании КЦ и ЭП (рис. 29, а), так и при последовательном выполнении различных операций в разных временных тактах на одном и том же оборудовании (рис. 29, б).

а

б

Рис. 29

При работе устройства КЦ преобразуют данные по тем или иным логическим зависимостям, а ЭП принимают их после окончания переходных процессов, т. е. установления на выходах КЦ истинных значений сигналов.

В КЦ пути от входов к различным выходам неидентичны. Для расчета системы синхронизации нужно оценить минимальную и максимальную задержки сигналов в КЦ. Для оценки минимальной задержки следует учитывать минимальные задержки элементов и найти самый короткий путь от входов к одному из выходов КЦ (короткий в смысле времени его прохождения сигналом). С учетом максимальных задержек элементов оценивается самый длинный путь сигнала к выходу КЦ. Таким образом, должны быть определены задержки и.

Временная неидентичность путей к разным выходам КЦ затрудняет устранение критических временных состояний сигналов. С этой точки зрения одинаковость задержек для всех выходов КЦ была бы желательна.

Параметры тактовых импульсов

Период тактовых импульсов (синхроимпульсов) складывается из длительностей импульса и паузы (рис. 30). Длительность импульса должна быть достаточной для надежной записи информации в триггер, этот параметр задается в паспортных данных триггера. Обозначив его через, можно записать условие.

Рис. 30

Новое состояние триггеры примут по истечении максимальной из задержек и их переключения. Параметры и зачастую близки, но могут и отличаться в два и более раз. Разность обозначим через. Приняв новое состояние, триггеры тем самым формируют на входах КЦ новые значения сигналов. После этого, до нового приема данных должно пройти время, достаточное для прохождения сигнала по самому длинному пути в КЦ плюс время предустановки. Поэтому для длительности паузы имеем соотношение:

.

Минимальный период тактовых импульсов равен, а их частота –.

На интервале от до после переключения триггеров выходные сигналы КЦ не соответствуют ни старому, ни новому значению (данные нестабильны).

Для многих схем, особенно для БИС/СБИС, большую роль играют задержки сигналов в линиях связи, которые следует оценивать с учетом топологии межсоединений. Поэтому на ранних стадиях проектирования расчет параметров синхронизации может быть только ориентировочным.

Определенные требования предъявляются и к крутизне фронтов синхроимпульсов. Она не должна снижаться ниже допустимого предела. Причины этого ограничения заключаются в том, что, во-первых, при слишком пологих фронтах выходные цепи элементов могут слишком долго оставаться под действием сквозных токов и, во-вторых, то, что при малой крутизне фронтов синхроимпульсов разброс порогов срабатывания ЭП приводит к разбросу моментов их переключения. Особенно важно это обстоятельство для схем на элементах типа КМОП, для которых характерен повышенный разброс порогов срабатывания.

Системы синхронизации

Важным признаком системы синхронизации является фазность, которая определяется числом синхроимпульсов в одном периоде синхронизации (иначе говоря, числом импульсных последовательностей, используемых для синхронизации устройства). Фазность зависит от типа триггеров, применяемых в устройстве, способа обмена между функциональными узлами, требований к быстродействию и аппаратной сложности устройства.

Тактовыми импульсами обычно требуется обеспечить большое число элементов памяти. Обычно тактовые импульсы задаются одним генератором, а используются иногда тысячами и более элементов памяти. Попытка применить мощный генератор с разводкой от него синхросигналов по всем элементам памяти для сложных устройств оказывается, как правило, неудачной, в первую очередь из-за помех, вызываемых сильноточными цепями синхронизации.

Типовое решение – размножение тактовых импульсов с помощью разветвляющейся пирамидальной схемы (рис. 31), число ярусов которой зависит от числа тактируемых элементов памяти и коэффициентов разветвления задающего генератора и буферных каскадов БК.

Рис. 31

В каждом БК фронты импульсов задерживаются, причем из-за разброса задержек неодинаково. Если задержки обоих фронтов в БК идентичны, то при прохождении БК длительность импульса не изменится, и сигналы разных выходов будут различаться лишь смещением во времени, причем максимальный сдвиг между сигналами произвольных выходов, где m – число ярусов в схеме; – разброс задержек БК.

Временные сдвиги между синхроимпульсами, подаваемыми на различные ЭП, приводят к эффектам, равноценным сокращению одних интервалов и удлинению других. Для компенсации сокращений интервалов приходится увеличивать расчетное значение соответствующего интервала на входе схемы размножения, т. е. на выходе генератора. При этом увеличивается период синхроимпульсов и снижается быстродействие устройства. В связи с этим минимизации сдвигов уделяют большое внимание. Систему синхронизации иногда выполняют на специальных элементах повышенного быстродействия, применяют ограничение обменов данными между элементами, синхронизируемыми отдаленными выходами схемы размножения, тщательно подбирают длины соединительных проводников или вводят специальные задержки для выравнивания синхроимпульсов.

Проблема расфазирования тактовых импульсов в различных точках схемы для быстродействующих устройств настолько остра, что современные БИС/СБИС зачастую снабжаются специальными схемами коррекции временного положения синхросигналов, причем на одном кристалле могут быть установлены несколько таких схем, называемых в английской терминологии Phase Locked Loops (PLLs).

Такие схемы (рис. 32) содержат фазовый компаратор ФК, генератор, управляемый напряжением ГУН (VCO, Volt-Controlled Oscillator), с выхода которого берутся скорректированные синхроимпульсы, и цепь обратной связи, в которую могут быть включены не только элементы задержки, но и делители частоты ДЧ. При этом на PLL могут возлагаться две функции – коррекция фазовых сдвигов синхросигналов (Clock Skew), осуществляемая замкнутым контуром с элементом задержки в обратной связи (функция Clock Lock), и получение удвоенной частоты синхросигналов при введении в цепь обратной связи делителя частоты ДЧ (функция Clock Boost). Удвоение внутренней частоты работы устройств относительно внешней частоты передачи данных часто используется в микропроцессорах и СБИС программируемой логики высокой сложности.

Рис. 32

Благодаря введению схем PLL, удается снижать расфазирование тактовых сигналов системы до очень малых значений.

Различают следующие системы синхронизации:

· однофазную;

· двухфазную;

· многофазную.

Однофазная синхронизация использует минимальное число синхропоследовательностей и обеспечивает высокое быстродействие. В то же время ее применение сопровождается специфическими проблемами.

При однофазной синхронизации на все элементы памяти подаются одни и те же синхроимпульсы. Если бы устройство строилось на безинерционных элементах, то однофазная синхронизация была бы невозможна, так как в момент подачи синхроимпульса, т. е. команды на прием данных, эти данные исчезли бы. Это произошло бы потому, что при подаче синхроимпульса один и тот же элемент памяти должен одновременно принимать данные от предыдущего и снабжать данными последующий, что невозможно в безынерционной цепи, если только элементы памяти не обеспечивают за счет своей структуры присутствия в них одновременно «старой» и «новой» информации (это возможно в двухступенчатых триггерах).

Реальные элементы всегда инерционны, поэтому принципиальная возможность однофазной синхронизации появляется даже для систем с одноступенчатыми триггерами, но условия работоспособности могут оказаться
трудновыполнимыми.

На практике однофазная синхронизация чаще всего применяется в схемах с триггерами, имеющими динамическое управление, или с двухступенчатыми триггерами.

При использовании триггеров с динамическим управлением (рис. 33) информация принимается по фронту синхроимпульса, а чувствительность триггера к информационным сигналам сохраняется лишь в малом интервале времени в окрестности фронта (в течение времени выдержки). Триггеры должны потерять чувствительность к изменениям информационных сигналов, прежде чем до их входов по кратчайшему пути может дойти такое изменение. Если это не обеспечивается, возможен сбой. Таким образом, в этом варианте однофазной системы синхронизации требуется соблюдение определенного условия работоспособности:

,

где – минимальное время переключения триггера.

Рис. 33

В системах однофазной синхронизации с двухступенчатыми триггерами высокий уровень синхросигнала открывает входные ступени триггеров, оставляя неизменными их выходные сигналы. При этом данные с предыдущих каскадов записываются во входные ступени следующих. Такую запись можно вести в течение необходимого времени без каких-либо опасностей временных состязаний сигналов. Переход синхросигнала на низкий уровень переносит состояния входных ступеней в выходные, изменяет тем самым переменные на входе КЦ, которые вырабатывают новые сигналы для триггеров следующего каскада. Этот процесс также можно вести достаточно длительное время без каких-либо опасений, поскольку входные ступени всех триггеров закрыты. Очередной переход синхросигнала на высокий уровень вновь запишет информацию во входные ступени триггеров и т. д. При правильном выборе параметров синхросигналов временные состязания сигналов в системе с двухступенчатыми триггерами вообще отсутствуют, работоспособность ее обеспечивается при сколь угодно малых минимальных задержках.

Двухфазная синхронизация характеризуется использованием двух последовательностей синхроимпульсов (рис. 34, а), сдвинутых во времени друг относительно друга. Интервал между импульсами обеих последовательностей отводится для работы комбинационных цепей. Соседние каскады получают разноименные серии синхроимпульсов (рис. 34, б).

а

б

Рис. 34

При возбуждении фазы С2 данные с триггеров фазы С1 через соответствующие КЦ передаются на триггеры фазы С2. При возбуждении фазы С1 триггеры этой фазы через КЦ принимают данные от триггеров фазы С2. Поочередное возбуждение фаз обеспечивает передачу данных по тракту их обработки без каких-либо временных состязаний, так как выдача данных производится триггерами, не изменяющими своих состояний в данной фазе, а прием данных осуществляется после завершения переходных процессов в КЦ.

Достоинством двухфазной системы является возможность применения простых одноступенчатых триггеров с управлением уровнем. В то же время наличие двух фаз синхроимпульсов усложняет схему устройства.

Многофазная синхронизация характеризуется использованием более чем двух серий синхроимпульсов и применяется для увеличения быстродействия систем путем организации работы их частей с разной скоростью. Это осуществляется разбиением периода основной частоты на части и использованием в отдельных блоках системы более высокочастотных синхросигналов. Для узлов и устройств применение многофазной системы синхронизации не характерно.


Понравилась статья? Добавь ее в закладку (CTRL+D) и не забудь поделиться с друзьями:  



double arrow
Сейчас читают про: