Триггер с динамическим управлением

Все рассмотренные выше триггеры являются триггерами со статическим управлением. Это накладывает определённые требования на построение схем, так как во время активного состояния сигнала синхронизации сигналы на информационных входах не должны изменяться, что бывает трудно обеспечить, особенно при большой частоте работы схемы. От такого недостатка свободны триггеры с динамическим управлением. Суть динамического управления заключается в том, что в качестве активного сигнала синхронизации выступает не уровень, а его изменение. Переключение триггера происходит в момент смены уровней на входе синхронизации.

Если триггер переключается по фронту импульса синхронизации (переключение от логического 0 к логической 1), то это прямой динамический вход, а если по срезу импульса синхронизации (переключение от логической 1 к логическому 0) – инверсный динамический вход. На рис. 5.12 показаны условные графические обозначения триггеров с прямым и инверсным динамическим входом.

 
 

а)
 
 

б)

Рис. 5.12. Условные графические обозначения триггеров:

а - с прямым; б - с инверсным динамическим входом

Различие типа динамического входа иллюстрируют временные диаграммы работы триггеров, представленные на рис 5.13.

а)
 
 

б)

Рис. 5.13. Временные диаграммы работы триггеров:

а - с прямым; б - с инверсным динамическим входом

Временные диаграммы аналогичны временным диаграммам Т-триггера, но следует ещё раз отметить, что триггер с динамическим управлением переключается только при изменении сигнала на входе С и не чувствителен к изменению сигналов на информационных входах во время действия сигнала синхронизации С = 0 и С = 1.

Все D-триггеры на микросхемах серий ТТЛ и КМОП имеют вход С с динамическим управлением. На рис. 5.14. представлены условные графические обозначения D-триггеров.

 
 

К155ТМ2
 
 

К561ТМ2

Рис. 5.14. Условные графические обозначения D-триггеров

Кроме динамического входа С триггеры имеют статические входы данных D и асинхронные входы установки S и R. У микросхемы ТТЛ К155ТМ2 асинхронные входы установки инверсные, а у микросхемы КМОП К561ТМ2 – прямые. Как уже было отмечено в п. 5.3, D-триггеры широко представлены во всех сериях микросхем ТТЛ и КМОП. На основе D-триггеров строятся схемы счётчиков и регистров.

СЧЁТЧИКИ

Счётчиком называется последовательностное логическое устройство, предназначенное для счёта входных импульсов и фиксации их числа в двоичном коде.

Как было отмечено в главе 5, для реализации схем счётчиков применяют триггеры. Поскольку счётный триггер реализуется либо на двухступенчатом JK -триггере, либо на D-триггере с динамическим управлением, то схемы счётчиков выполняют на этих триггерах.

Счётчики могут выполнять следующие операции:

1) установка в исходное состояние (запись нулевого кода);

2) установка в предварительную позицию (запись кода начала счёта);

3) хранение информации;

4) выдача хранимой информации в параллельном коде;

5) счёт на увеличение (инкремент) – увеличение хранящегося кодового слова на единицу;

6) счёт на уменьшение (декремент) – уменьшение хранящегося кодового слова на единицу.

Основным параметром счётчика является модуль счёта М. Модуль счёта характеризует максимальное число импульсов, после подсчёта которого счётчик устанавливается в исходное состояние.

По значению модуля счёта счётчики делятся на:

- двоичные, у которых модуль счёта равен целой степени числа 2 (М = 2n);

- двоично-кодированные, у которых модуль счёта может принимать любое значение, не равное целой степени числа 2.

По направлению счёта счётчики делятся на:

- суммирующие, считающие на увеличение;

- вычитающие, считающие на уменьшение;

- реверсивные, считающие на увеличение или на уменьшение в зависимости от значения управляющего сигнала.

Двоичный суммирующий счётчик

Рассмотрим двоичный суммирующий счётчик до восьми (М = 8). Для схемы такого счётчика потребуется три триггера (8 = 23, то есть n = 3). Составим таблицу состояний триггеров счётчика (таблица 6.1).

Таблица 6.1

Таблица состояний триггеров двоичного суммирующего счётчика М = 8

№ импульса на входе счётчика С Q0 Q1 Q2
         
         
         
         
         
         
         
         

Первый триггер (выход Q0) изменяет своё состояние с приходом каждого импульса на вход С, второй триггер (выход Q1) изменяет своё состояние с приходом каждого второго импульса, третий триггер (выход Q2) изменяет своё состояние с приходом каждого четвёртого импульса. Для реализации такого алгоритма работы следует применить счётный триггер с инверсным динамическим входом. Структурная схема счётчика представлена на рис. 6.1, а временная диаграмма работы – на рис. 6.2.

 
 

Рис. 6.1. Структурная схема двоичного суммирующего счётчика М = 8

 
 

Рис. 6.2. Временная диаграмма работы двоичного суммирующего счётчика М = 8

Счётный триггер с инверсным динамическим входом переключается по срезу импульса синхронизации (переключение от логической 1 к логическому 0). Поэтому при последовательном соединении триггеров, когда вход следующего подключается к прямому выходу предыдущего, получается счёт на увеличение. С выходов Q0, Q1 и Q2 можно считывать информацию о количестве подсчитанных импульсов в параллельном коде (в виде трёхразрядного двоичного числа).

Пример технической реализации двоичного суммирующего счётчика - микросхема К176ИЕ1. Это шестиразрядный двоичный счётчик. У него два входа: вход R – установка всех шести триггеров в 0 и инверсный динамический вход счёта импульсов С (рис. 6.3). Установка в 0 происходит при подаче уровня логической 1 на вход R, переключение триггеров при счёте – по срезу импульсов на входе С. Для увеличения разрядности счётчика микросхемы К176ИЕ1 можно соединять последовательно: вход С следующей микросхемы с выходом «32» предыдущей.

 
 

Рис. 6.3. Принципиальная схема двоичного суммирующего счётчика на микросхемах К176ИЕ1

Двоичный вычитающий счётчик

Рассмотрим двоичный вычитающий счётчик до восьми (М = 8). Для схемы такого счётчика также потребуется три триггера. Составим таблицу состояний триггеров счётчика (таблица 6.2).

Таблица 6.2

Таблица состояний триггеров двоичного вычитающего счётчика М = 8

№ импульса на входе счётчика С Q0 Q1 Q2
         
         
         
         
         
         
         
         

Для реализации такого алгоритма работы следует применить счётный триггер с прямым динамическим входом. В момент поступления на вход счётчика фронта первого импульса все триггеры переключаются. Затем работа триггеров будет стандартной: первый триггер (выход Q0) изменяет своё состояние с приходом каждого импульса на вход С, второй триггер (выход Q1) изменяет своё состояние с приходом каждого второго импульса, третий триггер (выход Q2) изменяет своё состояние с приходом каждого четвёртого импульса. Структурная схема счётчика представлена на рис. 6.4, а временная диаграмма работы – на рис. 6.5.

 
 

Рис. 6.4. Структурная схема двоичного вычитающего счётчика М = 8

 
 

Рис. 6.5. Временная диаграмма работы двоичного вычитающего счётчика М = 8

Счётный триггер с прямым динамическим входом переключается по фронту импульса синхронизации (переключение от логического 0 к логической 1). Поэтому при последовательном соединении триггеров, когда вход следующего подключается к прямому выходу предыдущего, получается счёт на уменьшение. С выходов Q0, Q1 и Q2 можно считывать информацию о количестве подсчитанных импульсов в параллельном коде (в виде трёхразрядного двоичного числа).

Вычитающие двоичные счётчики в виде отдельных микросхем не выпускаются. Техническая реализация такого счётчика может быть выполнена на D-триггерах К155ТМ2 или К561ТМ2. Пример схемы вычитающего двоичного счётчика на D-триггерах представлен на рис. 6.6.

 
 

Рис.6.6. Схема вычитающего двоичного счётчика на D-триггерах К561ТМ2

Двоично-кодированный счётчик

Как было отмечено выше, у двоично-кодированного счётчика модуль счёта может принимать любое значение, не равное целой степени числа 2. Для получения такого модуля счёта применяется метод управляемого сброса. Суть метода заключается в принудительном формировании сигнала сброса триггеров схемы двоичного счётчика (установки их в 0) при появлении на выходах счётчика кода, совпадающего с требуемым модулем счёта М. Чтобы добиться этого, необходимо к счётчику добавить дешифратор на логическом элементе И. На входы дешифратора надо подать сигнал с прямого выхода триггера, состояние которого при поступлении на вход счётчика требуемого числа импульсов Q = 1, и с инверсного выхода триггера, состояние которого Q = 0. Тогда на выходе элемента И будет сформирован сигнал, который следует подать на параллельно соединённые входы R всех триггеров.

Предположим, что требуется суммирующий счётчик М = 5. Поскольку 22 < 5 < 23, для счётчика требуется три триггера. Составим таблицу состояний триггеров такого счётчика (таблица 6.3).

Таблица 6.3

Таблица состояний триггеров двоичного суммирующего счётчика М = 5

№ импульса на входе счётчика С Q0 Q1 Q2
         
         
         
         
         
         

Воспользуемся временной диаграммой работы суммирующего счётчика, отметив на ней состояние выходов триггеров (выходной двоичный код), соответствующий моменту времени после поступления на вход пятого импульса (рис. 6.7). Этот момент времени отмечен пунктирной линией.

Как только закончится пятый импульс, на выходах счётчика устанавливается код 101. Чтобы сформировать импульс сброса триггеров, входы дешифратора на логическом элементе 3И следует подключить к прямым выходам Q0 и Q2 и к инверсному выходу .

 
 

Рис. 6.7. Временная диаграмма работы двоично-кодированного суммирующего счётчика М = 5

Схема двоичного суммирующего счётчика М = 5 представлена на рис. 6.8. Техническая реализация выполнена на D-триггерах К155ТМ2 с прямым динамическим входом С и инверсными входами R и S, поэтому входные импульсы проинвертированы, входы С последующих триггеров соединены с инверсными выходами предыдущих, в качестве дешифратора использован логический элемент 3И-НЕ К155ЛА4.

 
 

Рис.6.8. Схема двоично-кодированного счётчика М = 5 на D-триггерах К155ТМ2

Изменяя порядок подключения входов дешифратора, можно получить любой модуль счёта, отличный от целой степени числа 2. Например, в данной схеме, кроме М = 5 можно получить М = 6 и М = 7. Сигнал установки триггеров счётчика в «0» можно использовать как выходной сигнал схемы.

Следует отметить, что при использовании метода управляемого сброса на выходе Q0 на время задержки распространения сигнала в элементе DD5 и времени установления триггера в «0» появляется лишнее выходное состояние («игольчатый» импульс). Это недостаток, который является «расплатой» за универсальность данного метода.


Понравилась статья? Добавь ее в закладку (CTRL+D) и не забудь поделиться с друзьями:  



double arrow
Сейчас читают про: